筛选条件:

  • 50~元以上
  • 5折-6折
清空筛选条件
顾客评分:
仅五星 以上 以上 以上 以上
销售价格:
0-20元20-30元30-50元50~元以上
折扣力度:
1折-5折5折-6折6折-6.9折
筛选:
    • 基于运算放大器和模拟集成电路的电路设计(第4版国外名校*教材精选)
    •   ( 14 条评论 )
    • (美)赛尔吉欧·佛朗哥|责编:鲍媛|译者:荣玫//刘树棠//朱茂... /2017-11-01/ 西安交大
    • 本书全面阐述以运算放大器和模拟集成电路为主要器件构成的电路原理、设计方法和实际应用。电路设计以实际器件为背景,对实现中的许多实际问题尤为关注。全书共分13章,包含三大部分。 部分( ~4章),以运算放大器作为理想器件介绍基本原理和应用,包括运算放大器基础、具有电阻反馈的电路和有源滤波器等。第二部分(第5~8章)涉及运算放大器的诸多实际问题,如静态和动态限制、噪声及稳定性问题。第三部分(第9~13章)着重介绍面向各种应用的电路设计方法,包括非线性电路、信号发生器、电压基准和稳压电源、D-A和A-D转换器以及非线性放大器和锁相环等。 本书可用作通信类、控制类、遥测遥控、仪器仪表等相关专业本科高年级及研究生有关课程的教材或主要参考书,对从事实际工作的电子工程师们也有很大参考价值。

    • ¥63.5 ¥128 折扣:5折
    • 集成电路设计中的电源管理技术 集成电路 电源管理 集成电路设计 集成电路技术
    •   ( 1 条评论 )
    • 陈科宏(Ke-Horng Chen陈铖颖 张宏怡 戴澜 ... /2020-04-01/ 机械工业
    • 本书主要针对低压和高压电源管理电路设计进行了详细讨论。本书力求简化电路模型的数学分析,重点研究电源管理电路的功能和实现。本书中包含了大量电路示意图,以帮助读者理解电源管理电路的基本原理和工作方式。在具体内容方面,本书分章介绍了低压和高压器件、低压差线性稳压器设计、电压模式和电流模式开关电源稳压器、基于纹波的控制技术、单电感多输出转换器、基于开关的电池充电器以及能量收集系统等方面的内容。 本书内容详实、实例丰富,可作为高等院校电子科学与技术、电子信息工程、微电子、集成电路工程等专业高年级本科生和硕士研究生的课程教材,亦可作为从事集成电路、系统级设计,以及电源管理芯片设计和应用的工程技术人员的参考书籍。

    • ¥90.63 ¥159 折扣:5.7折
    • MOS集成电路工艺与制造技术 潘桂忠著 上海科学技术出版社【正版书】
    •   ( 0 条评论 )
    • 潘桂忠著 /2012-06-01/ 上海科学技术出版社
    • 本书编著者潘桂忠。《MOS集成电路工艺与制造技术》内容系统地介绍了硅集成电路制造技术中的基础工艺,内容包括硅衬底与清洗、氧化、扩散、离子注人、外延、化学气相淀积、光刻与腐蚀/N,蚀、金属化与多层布线、表面钝化以及工艺集成制造技术。前面l—10章,一方面介绍了各种工艺,建立了工艺规范并确定了其规范号;另一方面确定了工艺制程中的各种工序。集成电路工艺制程依次序的各工序组成,而工序由各工步所构成,工步中的各种工艺由其规范来确定,工艺规范由其规范号和工艺序号(i)得到,最终在硅衬底上实现所设计的图形,制造出各种电路芯片。前面l~10章为后面11~13章的各种工艺集成制造技术奠定了基础。ll~13章介绍了CMOS和LV/Hv兼容CMOS、BiCMOS和LV/HV兼容BiCMOS以及BCD工艺集成制造技术,给出部分实用简明工艺制程卡,并与工艺制程的剖面结

    • ¥849 ¥1705.37 折扣:5折
    • 集成电路版图设计教程 曾庆贵,姜玉稀 编著 上海科学技术出版社【正版书】
    •   ( 0 条评论 )
    • 曾庆贵,姜玉稀 编著 /2012-03-01/ 上海科学技术出版社
    • 这本书由曾庆贵、姜玉稀编著,系统讲述使用Cadence软件进行集成电路版图设计的原理、编辑和验证方法,包括版图设计从入门到提高的内容,包括:半导体集成电路;UNIX操作系统和Cadence软件;VirtHOSO版图编辑器;CMOS数字电路版图设计;版图验证;版图验证规则文件的编写;外围器件及阻容元件版图设计;CMOS模拟集成电路的版图设计;铝栅CMOS和双极集成电路的版图设计。同时附录介绍了几个版图设计规则、验证文件和编写验证文件常用的命令等。 本书具有以下特点: (1)以培养学生的职业技能为原则来设计结构、内容和形式。 (2)基础知识以“必需、够用”为度,强调专业技术应用能力的训练。 (3)对基本理论和方法的论述多以图表形式来表达,便于易学易懂,并增加相关技术在生产中的应用实例,降低读者阅读难度。 (4)提供电子教案增值服务

    • ¥628 ¥1263 折扣:5折
    • 深亚微米CMOS模拟集成电路设计 [美] Bang-Sup Song 著,刘力源 译 科学出版社【正版书】
    •   ( 0 条评论 )
    • [美] Bang-Sup Song 著,刘力源 译 /2014-01-01/ 科学出版社
    • 《深亚微米CMOS模拟集成电路设计》着眼于电路设计,首先介绍双极结型晶体管(BJT)和金属氧化物半导体(MOS)晶体管的抽象模型,然后介绍如何利用晶体管构建更大的系统。主要内容包括:运算放大器、数据转换器、奈奎斯特数据转换器、过采样数据转换器、高精度数据转换器、锁相环、频率综合和时钟恢复等。《深亚微米CMOS模拟集成电路设计》对模拟设计概念的描述将诉诸更加直观的方法而不是繁琐的公式推导。 《深亚微米CMOS模拟集成电路设计》可以作为工科院校相关专业高年级本科生和研究生的参考用书,也可以供半导体和集成电路设计领域技术人员阅读。

    • ¥393 ¥793.37 折扣:5折
    • 集成运放应用电路设计360例 王昊,李昕 编著 电子工业出版社,【正版现货】
    •   ( 0 条评论 )
    • 王昊,李昕 编著 /2007-01-01/ 电子工业出版社
    • 本书全面系统地阐述了集成运算放大器(集成运放)360种应用电路的设计公式、设计步骤及元器件的选择,包括集成运放应用电路设计须知,集成运放调零、相位补偿与保护电路的设计,运算电路、放大电路的设计,信号处理电路的设计,波形产生电路的设计,测量电路的设计,电源电路及其他电路的设计,便于电子电路设计者将书中的典型电路与实际设计要求相结合,为设计者提供了设计捷径,进而提高了设计效率。 本书对电子电路设计者具有较强的实用价值,既可作为高等院校电类专业各层次的教学参考书,也可作为电气、电子设计人员培训教材,对电类专业技师和广大电子爱好者也很有参考价值。

    • ¥180.6 ¥363.38 折扣:5折
    • 纳米芯片学 蒋建飞 编著 上海交通大学出版社【正版现货】
    •   ( 0 条评论 )
    • 蒋建飞 编著 /2007-12-01/ 上海交通大学出版社
    • 本书包括芯片技术发展的历史、现状和可能的未来。首先回顾了器件栅长在100nm以上的硅CMOS存储器、逻辑电路和微处理器等微米芯片核心技术。然后论述了100nm至3nm栅长现实的和可能的存储器、逻辑电路和微处理器纳米芯片技术,比较了从微米芯片到纳米芯片发展中每次升级所必须进行的器件结构和工艺技术的创新。进而探讨了电子输运基存储器、逻辑电路和微处理器发展的限制的根本要素,介绍和评述了各类非电子输运基存储器、逻辑电路和微处理器器件的结构和原理及其所遇到的挑战,并进行了发展风险的评估。 本书是系统地论述纳米芯片技术的重要学术著作,可作为从事纳米尺度大规模集成电路芯片和从事纳电子科学技术及纳米科学和技术与相关学科的科学家、工程师、教师的参考书,也可供相关专业研究生研读。

    • ¥129.4 ¥260.98 折扣:5折
    • 纳米CMOS集成电路—从基本原理到专用芯片实现 (荷)维恩德里构著,周润德 译 电子工业出版社【正版保证】
    •   ( 0 条评论 )
    • (荷)维恩德里构著,周润德 译 /2011-01-01/ 电子工业出版社
    • 当今CMOS集成电路的特征尺寸已进入了纳米时代。本书全面介绍了纳米CMOS集成电路技术。包括纳米尺度下的器件物理、集成电路的制造工艺和设计方法;介绍了存储器、专用集成电路和片上系统;突出了漏电功耗问题和低功耗设计,讨论了工艺扰动和环境变化对集成电路可靠性和信号完整性的影响。书中还包括了有关纳米CMOS集成电路的测试、封装、成品率和失效分析,并在最后探讨了未来CMOS特征尺寸缩小的趋势和面临的挑战。 ??? 本书基于作者长期在Philips和NXPSemiconductors公司讲授CMOS集成电路内部课程时出版的三部专著的内容,并参考当今工业界进的水平对这些内容进行了全面修订和更新,这保证了本书内容与集成电路工业界的紧密联系。本书结构严谨,可读性强,书中附有大量的插图和照片,列出了许多有价值的参考文献,并提供了许多富有思考意义的练习题,因

    • ¥848 ¥1703.37 折扣:5折
    • MOS集成电路工艺与制造技术 潘桂忠著 上海科学技术出版社【正版书】
    •   ( 0 条评论 )
    • 潘桂忠著 /2012-06-01/ 上海科学技术出版社
    • 本书编著者潘桂忠。《MOS集成电路工艺与制造技术》内容系统地介绍了硅集成电路制造技术中的基础工艺,内容包括硅衬底与清洗、氧化、扩散、离子注人、外延、化学气相淀积、光刻与腐蚀/N,蚀、金属化与多层布线、表面钝化以及工艺集成制造技术。前面l—10章,一方面介绍了各种工艺,建立了工艺规范并确定了其规范号;另一方面确定了工艺制程中的各种工序。集成电路工艺制程依次序的各工序组成,而工序由各工步所构成,工步中的各种工艺由其规范来确定,工艺规范由其规范号和工艺序号(i)得到,最终在硅衬底上实现所设计的图形,制造出各种电路芯片。前面l~10章为后面11~13章的各种工艺集成制造技术奠定了基础。ll~13章介绍了CMOS和LV/Hv兼容CMOS、BiCMOS和LV/HV兼容BiCMOS以及BCD工艺集成制造技术,给出部分实用简明工艺制程卡,并与工艺制程的剖面结

    • ¥598 ¥1203.37 折扣:5折
    • 集成电路版图设计教程 曾庆贵,姜玉稀 编著 上海科学技术出版社【正版】
    •   ( 0 条评论 )
    • 曾庆贵,姜玉稀 编著 /2012-03-01/ 上海科学技术出版社
    • 这本书由曾庆贵、姜玉稀编著,系统讲述使用Cadence软件进行集成电路版图设计的原理、编辑和验证方法,包括版图设计从入门到提高的内容,包括:半导体集成电路;UNIX操作系统和Cadence软件;VirtHOSO版图编辑器;CMOS数字电路版图设计;版图验证;版图验证规则文件的编写;外围器件及阻容元件版图设计;CMOS模拟集成电路的版图设计;铝栅CMOS和双极集成电路的版图设计。同时附录介绍了几个版图设计规则、验证文件和编写验证文件常用的命令等。 本书具有以下特点: (1)以培养学生的职业技能为原则来设计结构、内容和形式。 (2)基础知识以“必需、够用”为度,强调专业技术应用能力的训练。 (3)对基本理论和方法的论述多以图表形式来表达,便于易学易懂,并增加相关技术在生产中的应用实例,降低读者阅读难度。 (4)提供电子教案增值服务

    • ¥654 ¥1315.37 折扣:5折
    • 集成电路静态时序分析与建模 刘峰 机械工业出版社,【正版现货】
    •   ( 0 条评论 )
    • 刘峰 /2016-07-01/ 机械工业出版社
    • 由于芯片尺寸的减小、集成度密集化的增强、电路设计复杂度的增加、电路性能要求的提高等因素,对芯片内的时序分析提出了更高的要求。静态时序分析是大规模集成电路设计中非常重要的一个环节,它能验证设计在时序上的正确性,并决定设计是否能够在要求的工作频率下运行。本书由集成电路设计专业论坛站长刘峰编著,共11章,基于广度和深度两个方面来阐述整个CMOS集成电路静态时序分析流程与时序建模技术,并通过实践案例对技术应用进行更深入的讲解,使初学者在静态时序分析与建模两方面得到理论与实战的双重提高。本书适合作为微电子与集成电路相关专业的研究生、本科生、职业技术类学生的教材和教辅书,也可作为电子、自控、通信、计算机类工程技术人员学习使用集成电路设计软件和进修集成电路设计的专业技术参考书与工具书。

    • ¥151.8 ¥305.78 折扣:5折
    • CMOS射频集成电路设计
    •   ( 0 条评论 )
    • /2006-02-01/ 电子工业出版社
    • 本书全面深入地介绍了如可设计千兆赫兹CMOS射频集成电路。本书首先回顾了集成电路元件的特性、MOS器件物理和晶体管模型,RLC并联、串联和其他形式的振荡网络,以及分布式系统的特点及其与集总参数电路的区别,然后详细讨论了现代高频宽带放大器的设计。接下来介绍了关键的射频功能快,包括低噪声放大器、基准电压源、混频器、射频功率放大器、振荡器和频率综合器。最后探讨了发关接收器的总体结构并展望了射频电路未来发展的前景。书中包括多非常有用的电路图其他插图,除章和最后一章外,每章的后面都有许多启发性的习题,是高年级本科生和研究生学习有关射频电子学方面课的理想教科书,对于从事射频集成电路设计或相关领域的工程技术人员来说也是一本非常有益的参考书。

    • ¥175.99 ¥353 折扣:5折
    • 微电子工艺基础
    •   ( 0 条评论 )
    • /2007-01-01/ 化学工业出版社
    • 为了使相关读者更深入了解掌握微电子工艺技术的原理,本书前部分主要介绍了集成电路制备工艺中有关的物理、化学知识,第4章至0章是全书重点,介绍了工艺过程、工艺设备以及IC制备中的新技术、新方法。全书共分10章,主要内容涉及半导体硅材料及化合物的化学性质,高纯水的制备,清洗技术,氧化、扩散、刻蚀、制版、外延、金属化处理、电子封装等主要工艺的原理等。 本书可作为高等院校相关专业的教材,也可作为教师和研究生的参考用书,同时也能供广大从事微电子相关领域的工程技术人员参考。

    • ¥186.99 ¥375 折扣:5折
    • 无线神经接口的超低功耗集成电路设计
    •   ( 0 条评论 )
    • /2013-04-01/ 机械工业出版社
    • 本书针对目前的无线神经接口,提出了为实现神经信号记录、处理以及无线通信的超低功耗集成电路的设计方法,从基本原理到硬件及芯片的选择,以及电路的分类和原理图,并对各种设计方案进行了对比,介绍了各自的优缺点和适用范围,并将相关的设计理念应用于实际的神经记录系统进行设计,对所有的必要组件如尖峰脉冲的检测、分类和聚类分析等进行说明。实验证明,相关的设计技术能够很好地降低功耗,同时实现低噪声开环放大器、闭环放大器及斩波稳定放大器的设计,并分别介绍其应用。本书基本内容清晰明了,将电路设计应用于实际工程,突出了实用性。本书适合从事相关硬件设计和相关理论研究的人员阅读,并可作为相关工科及生物学专业的高年级本科生和研究生的参考用书

    • ¥289.59 ¥580.2 折扣:5折
    • 国外电子与通信教材系列:基于FPGA和CPLD的数字系统设计
    •   ( 0 条评论 )
    • /2009-02-01/ 电子工业出版社
    • 本书系统地介绍了可编程逻辑器件类型、数字系统描述的硬件语言与设计方法,以及系统的测试和实现,从理论、方法、工具到实践进行了全面阐述。全书共10章。章介绍了可编程逻辑器件的类型;第2、3章结合实例,介绍了电子系统设计背景及其PCB设计;第4章介绍了先进数字设计中使用的各种编程语言;第5、6章介绍了数字逻辑设计原理以及运用VHDL语言对一系列电路的实例化;第7、8章介绍了DSP的VHDL实现以及数模世界转换的接口;最后,第9、10章介绍了电子系统测试和抽象的高层次设计建模。此外,本书各章都有大量的实例供读者验证和测试,兼具知识性和实用性。 本书适用于使用PLD进行数字系统开发的电子与计算机工程专业学生,也可供工业界开发数字系统的技术人员参考。

    • ¥167.39 ¥335.8 折扣:5折
    • 微机电系统设计与加工
    •   ( 0 条评论 )
    • /2010-02-01/ 机械工业出版社
    • 本书是MEMS系列图书的一本,主要介绍MEMS技术中材料和加工方面的知识。内容包括:MEMS中的材料,MEMS制造,LIGA及其微模压,基于X射线的加工,EFAB技术及其应用,单晶SiCMEMS制造、特性与可靠性,用于碳化硅体微加工的等离子体反应深刻蚀,聚合物微系统:材料和加工,光诊断方法考察微流道的入口长度,应用于航空航天的微化学传感器,恶劣环境下的MEMS器件封装技术,纳机电系统制造技术,分子自组装基本概念及应用。本书主要面向MEMS专业的高年级本科生和研究生,也可供MEMS技术研究人员参考。

    • ¥144 ¥289 折扣:5折
广告