筛选条件:

  • 仅五星
  • 50-100元
清空筛选条件
顾客评分:
仅五星 以上 以上 以上 以上
销售价格:
1-30元30-50元50-100元100~元以上
折扣力度:
0折以上3折-5折0折以上7折以上
筛选:
    • 集成电路制造工艺与工程应用
    •   ( 5638 条评论 )
    • 温德通 /2020-07-24/ 机械工业出版社
    • 本书以实际应用为出发点,对集成电路制造的主流工艺技术进行了逐一介绍,例如应变硅技术、HKMG技术、SOI技术和FinFET技术,然后从工艺整合的角度,通过图文对照的形式对典型工艺进行介绍,例如隔离技术的发展、硬掩膜版工艺技术、LDD工艺技术、Salicide工艺技术、ESD IMP工艺技术、AL和Cu金属互连。然后把这些工艺技术应用于实际工艺流程中,通过实例让读者能快速的掌握具体工艺技术的实际应用。 本书旨在向从事半导体行业的朋友介绍半导体工艺技术,给业内人士提供简单易懂并且与实际应用相结合的参考书。本书也可供微电子学与集成电路专业的学生和教师阅读参考。

    • ¥64.4 ¥99 折扣:6.5折
    • 开关电源控制环路设计
    •   ( 2456 条评论 )
    • 克里斯多夫 /2020-09-16/ 机械工业出版社
    • 本书共分九章,系统阐述了开关电源的控制环路设计和稳定性分析。第1~3章介绍了环路控制的基础知识,包括传递函数、零极点、稳定性判据、穿越频率、相位裕度、增益裕度以及动态性能等;第4章介绍了多种补偿环节的设计方法;第5~7章分别介绍了基于运放、跨导型运放以及TL431的补偿电路设计方法,将理论知识与实际应用密切关联;第8章介绍了基于分流调节器的补偿器设计;第9章介绍了传递函数、补偿环节与控制环路伯德图的测试原理和方法。本书将电源环路控制的知识点进行了系统的汇总和归纳,实用性强,是一本非常的电源控制环路设计的著作。 本书适合电源工程师、初步具备电力电子技术或者开关电源基础的读者,可以较为系统地了解开关电源控制环路设计的理论知识、分析方法、工程实践设计以及测试分析等,在工程实践的基础上,大大提高理论

    • ¥94.3 ¥145 折扣:6.5折
    • 模拟电子技术基础:系统方法
    •   ( 1210 条评论 )
    • (美)弗洛伊德,(美)布奇拉 著,朱杰蒋乐夭 译 /2015-06-01/ 机械工业出版社
    • 本书是以系统为视角的极具特色的模拟电子技术基础教材。全书共15章,内容包括:二极管及其应用、BJT、FET、多级放大器、RF放大器、功率放大器、运算放大器、特殊用途放大器、运算放大器的响应、基本运算放大器电路、有源滤波器、振荡器、定时器、稳压器、通信电路、数据转换等。全书配有习题和习题答案,便于学生牢固掌握所学知识点。本书可供工科电子类各专业的本科生、专科生使用,也可作为相关技术领域工程技术人员的参考书。

    • ¥93.1 ¥129 折扣:7.2折
    • 一本书读懂芯片制程设备
    •   ( 597 条评论 )
    • 王超 姜晶 牛夷 王刚 /2023-03-08/ 机械工业出版社
    • 本书是围绕集成电路芯片发展和新一代信息产业技术领域(集成电路及专用设备)等重大需求,编著的集成电路芯片制程设备通识书籍。集成电路芯片作为信息时代的基石,是各国竞相角逐的 国之重器 ,也是一个国家高端制造能力的综合体现。芯片制程设备位于集成电路产业链的上游,贯穿芯片制造全过程,是决定产业发展的关键一环。本书首先介绍了集成电路芯片制程及其设备,并着重分析了芯片制程设备的国内外市场环境;然后,针对具体工艺技术涉及的设备,详细综述了设备原理及市场情况;并对我国集成电路芯片制程设备的发展做了总结展望。本书可为制造业企业和研究机构提供参考,也可供对集成电路芯片制程设备感兴趣的读者阅读。

    • ¥83.6 ¥99 折扣:8.4折
    • SystemVerilog验证:测试平台编写指南(原书第三版)
    •   ( 381 条评论 )
    • (美)克里斯·斯皮尔等著;张春译 /2023-01-01/ 科学出版社
    • 《System Verilog验证:测试平台编写指南:原书第三版》讲解了System Verilog Testbench强大的验证功能,清楚地解释了面向对象编程、约束随机测试和功能覆盖的概念。《System Verilog验证:测试平台编写指南:原书第三版》涵盖System Verilog所有验证结构,如类、程序块、随机化和功能覆盖等,并通过超过500个代码示例和详细解释,说明了学习多态性、回调和工厂模式等概念的内部工作原理。此外,《System Verilog验证:测试平台编写指南:原书第三版》提供了数百条指导原则,为全职验证工程师和学习这一技能的读者提供帮助,让读者可以更高效地使用这种语言,并解释了常见的编码错误,以便读者可以避免这些陷阱。

    • ¥82.8 ¥98 折扣:8.4折
    • 印制电路板的设计与制造(第2版)
    •   ( 394 条评论 )
    • 姜培安 /2020-07-01/ 电子工业出版社
    • 印制电路板是现代电子设备中重要的基础零部件。本书共12章,以印制板的设计和制造的关系及相互影响为主线,系统地介绍了印制板的设计、制造和验收。具体内容包括印制板概述、印制板基板材料、印制板设计、印制板制造技术、多层印制板制造技术、高密度互连印制板制造技术、挠性及刚挠结合印制板制造技术、特殊印制板制造技术、印制板的性能和检验、印制板的验收标准和使用要求、印制板的清洁生产和水处理技术、印制板技术的发展方向。在介绍印制板的基本方法和工艺流程时,收集了一些典型的工艺配方,较详细地介绍了具体的操作方法和常见故障分析及排除方法,具有丰富的实践性,为从事印制板制造的工程技术人员和生产工人提供了较好的参考依据。

    • ¥75.9 ¥138 折扣:5.5折
    • 【2024文津奖图书】芯片简史 芯片研究专家科普作家 汪波 启发未来之作 芯片是如何诞生并改变世界的 未来科技发展趋势科
    •   ( 155 条评论 )
    • 汪波 /2023-04-01/ 浙江教育
    • ?一本聚焦热门话题、热门行业的实力之作,完整呈现芯片发明和发展的60多年历程。 全书完整呈现了芯片发明与发展的历程,从支撑芯片产业发展的量子力学讲起,逐渐发展到半导体物理学,进而催生了半导体器件,这些器件又由简到繁,像一颗发芽的种子,演化出了双ji型晶体管、MOS场效晶体管、光电二ji管等,并由此集成构造出了模拟芯片(通信和传感器芯片等)、数字芯片(CPU、存储器、FPGA等)和光电芯片等。蕞后,本书还展示了芯片设计方法和制造方法由手工到自动的发展过程,并指出了芯片未来面对的挑战和可能的解决路径。可以说,了解芯片,有这一本书就够了。 ?芯片的发展史,就是一部创新史与叛逆史——详细讲述一群叛逆者突破传统、不断创新的故事。 作者以芯片诞生和发展为主线,将散落在世界各地的实验室、杂志、书籍或新闻报

    • ¥97.4 ¥149.9 折扣:6.5折
    • 脑机接口电路与系统
    •   ( 407 条评论 )
    • [荷]阿米尔·齐亚约Amir Zjajo /2020-05-28/ 机械工业出版社
    • 近年来由于脑科学与人工智能技术的迅猛发展,脑机接口技术得到了长足的进步和飞速的发展,已逐渐成为当前神经工程领域中*活跃的研究方向之一,在生物医学、神经康复和智能机器人等领域具有重要的研究意义和巨大的应用潜力,应用领域也在逐渐扩大。本书从电路和系统层面,介绍了实现脑机接口集成电路的若干关键核心技术。在电路层面,作者介绍了具有电容反馈低噪声放大器和电容衰减带通滤波器的低功率神经信号调节系统,以及几种分别基于电压域、电流域和时间域等方法实现的A/D转换器,并从电路架构层次评估了如何在噪声、速度和功耗之间进行折衷。在系统层面,作者介绍了基于非线性能量算子尖峰探测和基于核函数的多类支持向量机分类的128通道可编程神经尖峰信号分类器。另外,还提出了在神经接口设计中应对工艺偏差的多变量优化方法。

    • ¥71.5 ¥99 折扣:7.2折
    • 业余无线电通信 第五版
    •   ( 1883 条评论 )
    • 童效勇BA1AA陈方BA4RC) /2021-04-01/ 人民邮电出版社
    • 业余无线电通信 从1994年开始出版,已经成为业余无线电爱好者的入门工具书。 本书是由业余无线电家童效勇(BA1AA)和陈方(BA4RC)为广大业余无线电编写的业余无线电通信入门教材,书中系统地介绍了开设、操作业余无线电台的相关知识和法律法规,主要内容包括:业余无线电通信简史、业余无线电通信操作实践、收发报技术的自我训练、业余无线电奖励证书和竞赛活动、不同业余无线电波段的运用、业余短波天线、业余无线电收发信机、依法设置和使用业余无线电台等。 业余无线电通信 既可作为开展业余无线电活动的教材,也可作为业余无线电爱好者的自修读本和手册。

    • ¥68.8 ¥79 折扣:8.7折
    • 基于MATLAB与FPGA的图像处理教程
    •   ( 756 条评论 )
    • 韩彬 /2023-01-01/ 电子工业出版社
    • 本书不是一本纯粹的基于软件算法的教程,亦不是一本单一讲述FPGA硬件实现的书,而是一本从图像处理算法理论基础出发,结合MATLAB软件实现,终采用FPGA进行并行硬件加速的指南。书中选用了一些常用的图像处理算法,相关章节大都遵循"算法理论 MATLAB软件验证 FPGA硬件实现 的流程,将这些算法由浅入深、循序渐进地从算法理论讲解到FPGA硬件实现。 本书适合对FPGA图像处理感兴趣的读者,需读者熟悉MATLAB软件与Verilog语言,并且具备一定的FPGA基础。如果是FPGA初学者,可以先阅读笔者的另外两本书:《FPGA设计技巧与案例开发详解(第3版)》《Verilog数字系统设计教程(第4版)》。 本书的所有例程均已经过了验证,并且已经在实际项目中得到了多次应用,配套的代码及参考资料可联系笔者获取(邮箱crazyfpga@qq.com)。

    • ¥53.9 ¥98 折扣:5.5折
    • 电力电子PSIM 仿真与应用
    •   ( 1007 条评论 )
    • 游志宇 戴锋 张珍珍 卞超 陶加贵 韩莹 张懋 /2020-11-01/ 清华大学出版社
    • 本书利用专业电力电子软件PSIM对电力电子变换电路进行仿真和设计。本书涉及PSIM基本仿真元件模型讲解、仿真软件基本操作及分析方法讲解、电力电子四大变换电路(交流整流仿真、直流变换、直流逆变、交-交变换)仿真模型搭建与分析讲解、PISM闭环开关电源环路设计讲解、数字控制器C程序代码自动生及基于DSP数字控制直流电源设计等的讲解。在讲解四大变换电路仿真模型构建及仿真时,除了对开环变换电路原理拓扑进行仿真验证,同时还涉及大量闭环反馈控制电路模型仿真,讲解了补偿环路的时域、频域设计及分析方法,数字直流电源的设计应用。

    • ¥69.8 ¥79 折扣:8.8折
    • 数字航图——数字化转型百问(第二辑)
    •   ( 162 条评论 )
    • 点亮智库·中信联 数字化转型百问联合工作组 /2023-02-01/ 电子工业出版社
    • 当今世界正处于从工业经济向数字经济加速转型的大变革时代,全面推进数字化转型已经成为新时期企业生存和发展的必答题。本书创新性地以问答的形式,围绕数字化转型"为什么 "是什么 "干什么 "怎么干 等方面,以100个转型的共性问题为牵引,通过共创的方式形成集"问题 关键知识点 典型案例 解决方案 为一体的知识体系,服务于企业、服务机构、科研院所、行业组织、政府部门等,以形成推进数字化转型的广泛共识,促进形成转型工作合力。 本书由点及面,深入浅出,既可作为广大读者全面认知数字化转型的知识读本,也可作为社会各界系统推进数字化转型的常备工具书。

    • ¥86.9 ¥158 折扣:5.5折
    • 功率半导体器件 原理 特性和可靠性(原书第2版)
    •   ( 1383 条评论 )
    • Lutz /2020-12-31/ 机械工业出版社
    • 本书介绍了功率半导体器件的原理、结构、特性和可靠性技术,器件部分涵盖了当前电力电子技术中使用的各种类型功率半导体器件,包括二极管、晶闸管、MOSFET、IGBT和功率集成器件等。此外,还包含了制造工艺、测试技术和损坏机理分析。就其内容的全面性和结构的完整性来说,在同类专业书籍中是不多见的。 本书内容新颖,紧跟时代发展,除了介绍经典的功率二极管、晶闸管外,还重点介绍了MOSFET、IGBT等现代功率器件,颇为难得的是收入了近年来有关功率半导体器件的*新成果,如SiC,GaN器件,以及场控宽禁带器件等。本书是一本精心编著、并根据作者多年教学经验和工程实践不断补充更新的好书,相信它的翻译出版必将有助于我国电力电子事业的发展。 本书的读者对象包括在校学生、功率器件设计制造和电力电子应用领域的工程技术人员及其他相关专业人

    • ¥97.5 ¥150 折扣:6.5折
    • 数字逻辑基础与Verilog设计(原书第3版)
    •   ( 1143 条评论 )
    • (加)斯蒂芬 布朗 /2016-06-01/ 机械工业出版社
    • 本书第3版较第2版在内容结构上做了更新,从问题求解的角度重点介绍多种逻辑电路及其硬件描述语言Verilog实现的方法,着重于数字电路实现技术和数字系统设计两大核心内容。主要包括:数字电路设计流程、逻辑电路基础、算术运算电路、组合电路、存储元件、同步时序电路、逻辑功能优化、异步时序电路、完整的CAD电路设计流程以及电路测试等。本书包含了120多段Verilog示例代码,以说明如何采用Verilog语言描述不同的逻辑电路。

    • ¥64.2 ¥89 折扣:7.2折
    • SiC/GaN功率半导体封装和可靠性评估技术
    •   ( 702 条评论 )
    • 菅沼 /2021-12-20/ 机械工业出版社
    • 本书重点介绍全球功率半导体行业发展潮流中的宽禁带功率半导体封装的基本原理和器件可靠性评价技术。书中以封装为核心,由熟悉各个领域前沿的专家详细解释当前的状况和问题。主要章节为宽禁带功率半导体的现状和封装、模块结构和可靠性问题、引线键合技术、芯片贴装技术、模塑树脂技术、绝缘基板技术、冷却散热技术、可靠性评估和检查技术等。尽管环境中的材料退化机制尚未明晰,书中还是总结设计了新的封装材料和结构设计,以尽量阐明未来的发展方向。本书对于我国宽禁带(国内也称为第三代)半导体产业的发展有积极意义,适合相关的器件设计、工艺设备、应用、产业规划和投资领域人士阅读。

    • ¥57.9 ¥89 折扣:6.5折
    • 功率半导体封装技术
    •   ( 502 条评论 )
    • 虞国良 /2021-09-01/ 电子工业出版社
    • 功率半导体器件广泛应用于消费电子、工业、通信、计算机、汽车电子等领域,目前也逐渐应用于轨道交通、智能电网、新能源汽车等战略性新兴产业。本书着重阐述功率半导体器件的封装技术、测试技术、仿真技术、封装材料应用,以及可靠性试验与失效分析等方面的内容。本书共10章,主要内容包括功率半导体封装概述、功率半导体封装设计、功率半导体封装工艺、IGBT封装工艺、新型功率半导体封装技术、功率器件的测试技术、功率半导体封装的可靠性试验、功率半导体封装的失效分析、功率半导体封装材料、功率半导体封装的发展趋势与挑战。

    • ¥70.4 ¥128 折扣:5.5折
    • 模拟集成电路设计 以LDO设计为例(原书第2版)
    •   ( 1001 条评论 )
    • [美]林康-莫莱 /2016-05-26/ 机械工业出版社
    • 本书借由集成线性稳压器的设计,全面介绍了模拟集成电路的设计方法,包括固态半导体理论、电路设计理论、模拟电路基本单元分析、反馈和偏置电路、频率响应、线性稳压器集成电路设计以及电路保护和特性等。本书从面向设计的角度来阐述模拟集成电路的设计,强调直觉和直观、系统目标、可靠性和设计流程,借助大量的实例,向初学者介绍整个模拟集成电路的设计流程,并引导其熟悉应用,同时本书也适用于有经验的电源集成电路设计工程师,不仅能帮助他们对模拟电路和线性稳压器的理论有更深刻的理解,而且书中所呈现的线性稳压器的技术发展也可以给予他们很多启发,是一本难得的兼具实用性和学术价值的模拟集成电路和集成线性稳压器设计的教科书和参考书。

    • ¥71.5 ¥99 折扣:7.2折
    • 硅基MEMS制造技术
    •   ( 348 条评论 )
    • 王跃林 等 /2022-04-01/ 电子工业出版社
    • 随着MEMS技术的不断成熟和全面走向应用,MEMS芯片的量产问题变得越来越重要。显然MEMS芯片的量产必须在集成电路生产线上进行,但是MEMS芯片制造与集成电路制造相比有明显不同,这使得集成电路生产线在转型制造MEMS芯片时会遇到一些特殊的工艺问题。本书主要围绕如何利用集成电路平面工艺制造三维微机械结构,进而实现硅基MEMS芯片的批量制造,系统介绍了硅基MEMS芯片制造技术。由于MEMS涉及学科较多,为了让不同学科背景的人能够快速读懂本书,本书先对MEMS的来龙去脉及MEMS出现的原因进行了简单介绍,然后详细介绍了相关内容,尽量做到通俗易懂。希望读者通过本书能全面了解硅基MEMS芯片制造技术,为从事与MEMS相关的工作打下基础。

    • ¥75.9 ¥138 折扣:5.5折
    • CMOS
    •   ( 173 条评论 )
    • Henry H.Radamson罗军Eddy Simoen赵超 /2021-03-01/ 上海科学技术出版社
    • CMOS是集成电路的基本单元,其设计和结构经历了数十年的进化历程,始终遵从了摩尔定律。作为关于CMOS器件和制造的专业书籍,本书内容涵盖了CMOS器件的发展历史、技术现状和未来发展趋势,对于过去20年中进入量产的关键技术模块给出了较为系统和深入的讨论。

    • ¥77.8 ¥95 折扣:8.2折
    • 数字信号处理教程习题分析与解答(第五版)
    •   ( 778 条评论 )
    • 程佩青李振松 /2018-02-21/ 清华大学出版社
    • 本书是《数字信号处理教程(第五版)》及《数字信号处理教程(第五版)MATLAB版》(程佩青编著,清华大学出版社出版)的全部习题的题解,题解较为全面细致,在每道题的题解前面都有简要的分析或提示。本书可作为高等院校电子信息类、自动化类、电气类等专业的 数字信号处理 课程的教学参考书,也可作为相关专业的科技工作者的参考资料。

    • ¥52.1 ¥69 折扣:7.6折
    • 碳化硅功率器件:特性、测试和应用技术
    •   ( 410 条评论 )
    • 高远 陈桥梁 /2021-07-01/ 机械工业出版社
    • 本书介绍了碳化硅功率器件的基本原理、特性、测试方法及应用技术,概括了近年学术界和工业界的*新研究成果。本书共分为9章:功率半导体器件基础,SiC MOSFET参数的解读、测试及应用,双脉冲测试技术,SiC器件与Si器件特性对比,高di/dt的影响与应对 关断电压过冲,高dv/dt的影响与应对 crosstalk,高dv/dt的影响与应对 共模电流,共源极电感的影响与应对,以及驱动电路设计。

    • ¥71.5 ¥99 折扣:7.2折
    • 雷达极化技术
    •   ( 222 条评论 )
    • 肖顺平 主编,徐振海 副主编,代大海陈思伟 编著 /2022-09-01/ 清华大学出版社
    • 雷达目标极化信息是目标时域、频域、空域信息之外可资利用的又一重要信息,在目标检测、抗干扰、目标识别方面具有重要的应用潜力。本书全面、系统地归纳总结雷达极化基础理论和关键技术,是作者团队多年来科研和教学实践的成果总结。 全书共分8章。第1章 简要归纳雷达极化学发展历程和主要内容,以及在雷达装备中的应用。第2章主要论述电磁波的极化及其表征。第3章主要论述天线的极化以及极化信号的接收。第4章主要论述雷达目标变极化效应及其表征。第5章主要论述目标极化测量技术。第6章主要论述目标极化校准技术。第7章主要论述目标极化滤波技术,包括**极化滤波理论和工程实现算法。第8章主要论述极化目标分解理论及其应用。 本书内容深入浅出,通俗易懂、体系性强。可作为高等院校电子工程专业研究生的教材,也可供雷达极化技术领域的

    • ¥69.8 ¥79 折扣:8.8折
    • CMOS集成电路闩锁效应
    •   ( 754 条评论 )
    • 温德通 /2020-04-01/ 机械工业出版社
    • 本书通过具体案例和大量彩色图片,对CMOS集成电路设计与制造中存在的闩锁效应(Latch-up)问题进行了详细介绍与分析。在介绍了CMOS集成电路寄生效应的基础上,先后对闩锁效应的原理、触发方式、测试方法、定性分析、改善措施和设计规则进行了详细讲解,随后给出了工程实例分析和寄生器件的ESD应用,为读者提供了一套理论与工程实践相结合的闩锁效应测试和改善方法。 本书面向从事微电子、半导体与集成电路行业的朋友,旨在给业内人士提供简单易懂并且与实际应用相结合的图书,同时也适合相关专业的本科生和研究生阅读。

    • ¥83.6 ¥99 折扣:8.4折
广告