筛选:
    • 芯跳不止-身边的集成电路江湖【正版图书,满额减】
    •   ( 3 条评论 )
    • 张立恒 /2015-04-01/ 电子工业出版社
    • 本书从一位集成电路分销商的角度观察集成电路供应链上下游发展规律,分析了集成电路二十一个原厂的发展历程、集成电路的典型封装和命名规则、知名品牌历史和特殊个性,直指各大集成电路厂商的恩怨情仇和江湖世界;其中还杂糅了颇具代表性的创业经历和多位从业者的职业感悟,可以感受到他们对集成电路供应链的前瞻和国内半导体行业的期望。

    • ¥224.5 ¥458 折扣:4.9折
    • 集成功率器件设计及TCAD仿真 付越 机械工业出版社【正版】
    •   ( 0 条评论 )
    • 付越 /2018-05-01/ 机械工业出版社
    • 本书从电力电子到功率集成电路(PIC)、率技术、器件等方面给电源管理和半导体产业提供了一个完整的描述。本书不仅介绍了集成功率半导体器件,如横向双扩散金属氧化物半导体场效应晶体管(LDMOSFET)、横向绝缘栅双极型晶体管(LIGBT)和超结LDMOSFET的内部物理现象,还对电源管理系统进行了一个简单的介绍。本书运用计算机辅助设计技术(TCAD)仿真实例讲解集成功率半导体器件的设计,代替抽象的理论处理和令人生畏的方程,并且还探讨了下一代功率器件,如氮化镓高电子迁移率功率晶体管(GaN功率HEMT)。本书内容有助于填补功率器件工程和电源管理系统之间的空白。书中包括智能PIC的一个典型的工艺流程以及很难在其他同类书中找到的技术开发组织图,通过对本书的阅读,可以使学生和年轻的工程师在功率半导体器件领域领先一步。

    • ¥112.79 ¥237.58 折扣:4.7折
    • 微电子电路设计 (美)耶格 等著 电子工业出版社【正版】
    •   ( 1 条评论 )
    • (美)耶格 等著 /2011-01-01/ 电子工业出版社
    • 本书涵盖了微电子电路设计所需基础知识,主要由三个部分组成。部分介绍固态电子学与器件,讨论了电子学的发展与电路分析方法、半导体物理和以MOSFET和双极型晶体管为代表的微电子器件的工作原理、i-v特性及SPICE模型等。第二部分为数字电路,包括数字电路的基本概念和CMOS电路、存储电路、ECL与TTL等双极型逻辑电路的设计方法,并简要介绍了BiCMOS电路。第三部分为模拟电路,以理想运算放大器和SPICE仿真为基础介绍了不同结构运算放大器的相关特性、小信号模型、具体分析方法和集成设计技术,最后讨论了放大器的频率响应、反馈和振荡器等问题。通过学习本书可以全面了解现代微电子电路设计,包括模拟与数字、分立与集成,了解内部结构也有利于系统设计中对集成电路的适当选择。 本书适用做电子信息类各专业本科生基础课的双语教材或参考书,也

    • ¥232 ¥833.37 折扣:2.8折
    • 超大规模集成电路先进光刻理论与应用 韦亚一 著【正版保证】.
    •   ( 1 条评论 )
    • 韦亚一 著 /2016-06-01/ 科学出版社
    • 光刻技术是所有微纳器件制造的核心技术。特别是在集成电路制造中,正是由于光刻技术的不断提高才使得摩尔定律(器件集成度每两年左右翻一番)得以继续。《超大规模集成电路先进光刻理论与应用》覆盖现代光刻技术的主要方面,包括设备、材料、仿真(计算光刻)和工艺,内容直接取材于国际先进集成电路制造技术,为了保证先进性,特别侧重于32nm节点以下的技术。书中引用了很多工艺实例,这些实例都是经过生产实际验证的,希望能对读者有所启发。《超大规模集成电路先进光刻理论与应用》可供高等院校的高年级本科生和研究生、集成电路设计和制造人员、微纳器件研发和制造工程师参考。

    • ¥244.6 ¥736.8 折扣:3.3折
    • 集成电路CAD与实践【可开电子发票】
    •   ( 0 条评论 )
    • 李冰 编著 /2011-01-01/ 电子工业出版社
    • 集成电路设计技术已经成为信息时代的关键技术之一,各行各业的智能化、信息化均离不开集成电路的应用。现代的超大规模集成电路(VLSI)设计也已经离不开计算机辅助设计(CAD),设计者需要系统了解集成电路CAD的设计方法学和使用常用的CAD软件。本书具有两个方面的主要内容:集成电路CAD基础,包括ASIC电路设计、电路分析、逻辑模拟、版图设计等方面的设计理论和CAD设计方法学;集成电路软件与实践,包括集成电路常用的CAD工具软件的使用方法、流程、示例,集成电路的逆向分析方法与实 践,数字、模拟集成电路设计及实例,从系统描述到版图的自动综合设计。 本书适合作为微电子与集成电路相关专业的研究生、本科生、职业技术类学生的教材和教辅书,也可作为电

    • ¥208.25 ¥481 折扣:4.3折
    • 模拟集成电路设计精粹【达额立减】
    •   ( 0 条评论 )
    • 桑森(Sansen,W.M.C.),陈莹梅 /2008-03-01/ 清华大学出版社
    • 本书是模拟集成电路设计领域的一本新书,提供了模拟集成电路分析和设计的新观点。作者首先对MOST和BJT两种器件模型进行了分析和比较,然后以此为两条线索,分别介绍了相应的基本单元电路和各类放大器的详细分析,随后的章节分别研究噪声、失真、滤波器、ADC/DAC和振荡器电路,每一章都结合MOST和BJT两种类型电路进行分析比较。本书一方面侧重于基础知识,对模拟和混合信号集成电路中的许多重要概念以直观形象的语言进行了描述.另一方面又侧重介绍与现代集成电路工艺相关的电路的研究方向和热点。本书绝大部分的设计实例均来源于、JSSC和ISSCC论文。

    • ¥146 ¥368 折扣:4折
    • 常用集成块速查速用手册第【达额立减】
    •   ( 0 条评论 )
    • 常用集成块速查速用手册》编写组 编 /2010-06-01/ 机械工业出版社
    • 本书主要介绍日用电气设备(侧重于通信电器)中常用的新型集成块的引脚号排列顺序、引脚符号、引脚功能、通用数据(包括供电电源、开路电阻)、内部框图、实物外形图(或实物封装图)等内容。全书按集成块型号的数字和字母升序进行编排,采用表格形式,每一个集成块分为引脚号、引脚符号、引脚功能、主要技术参数、备注(封装、名称、应用领域等特殊说明项)5栏。本书涉及家用电器、办公电器、通信电器、新型数码电器等方面的集成块1100多个,配图近700张,是一本实用、方便的集成块通用数表工具书。 本书可供电器维修、设计、制作,元器件销售、实验、教学人员及青少年电子爱好者阅读和参考。

    • ¥211.35 ¥488 折扣:4.3折
    • 模拟集成电路设计的艺术【达额立减】
    •   ( 0 条评论 )
    • (瑞士)克马恩齐恩德 著,白煜 等译 /2010-11-01/ 人民邮电出版社
    • 模拟集成电路设计是电子领域的热点之一。《模拟集成电路设计的艺术》是555计时器芯片设计者的经典著作,全面介绍了模拟集成电路的相关知识。书中首先介绍了模拟集成电路中的相关器件,然后介绍了仿真,接着详细介绍了电流镜、电流源、带隙参考、运算放大器、比较器、跨导放大器、计时器与振荡器、锁相环、滤波器、电源、数模转换器和模数转换器等重要的单元模块,介绍了如何将这些电路单元组合起来实现完整的功能。《模拟集成电路设计的艺术》适合各类模拟电路设计人员阅读。

    • ¥186.43 ¥431 折扣:4.3折
    • 模拟大规模集成电路设计基础 渡边嘉二郎,中村哲夫,徐国鼐 著
    •   ( 0 条评论 )
    • 渡边嘉二郎,中村哲夫,徐国鼐 著 /2008-09-01/ 科学出版社
    • 本书以微电子系大学生研究生以及企业电子电路设计人员为读者对象,讲解模拟集成电路设计的历史变迁、半导体及晶体管电路的基础知识、场效应晶体管及其电路、双极性集成电路及其构成要素、模拟基本大规模集成电路、模拟应用大规模集成电路、以及CMOS FET,模拟大规模集成电路、噪声与热、计算机仿真电路设计等。本书从基础到应用,循循渐进,通俗易懂,插图丰富。

    • ¥116.9 ¥311.75 折扣:3.7折
    • 超声相控阵检测技术与应用【正版书籍】
    •   ( 0 条评论 )
    • 王悦民 等 /2014-12-01/ 国防工业出版社
    • 《超声相控阵检测技术与应用》介绍了超声相控阵成像检测技术相关理论知识,包括超声相控阵的基本概念、扫查模式和图像显示、探头和声场、主要公式和基本参数等;另外,介绍了超声相控阵仪器操作方法,包括仪器界面介绍、相控阵扫描及测量设置、向导程序、管理操作、仪器设置及配件、工业应用实例、仪器保养及常见问题。全书内容翔实,图文并茂,既有超声相控阵检测的基本理论及知识方法的讲解,又有实践操作及应用的指导。

    • ¥218.79 ¥506 折扣:4.3折
    • 555时基集成电路原理与应用【正版书籍】
    •   ( 0 条评论 )
    • 陈有卿 等 著 /2006-05-01/ 机械工业出版社
    • 《555时基集成电路原理与应用》是关于555时基集成电路应用技术的图书,全书共分10章,章介绍了555时基集成电路原理与工作模式,第二~十章则分别介绍了555时基集成电路在彩灯控制电路、照明电路、定时器、报警器、自动控制电路、仪器仪表电路、充电器及电源电路、玩具与休闲电路、其他电子电器电路中的应用实例,共200例。这些应用电路结构合理、设计新颖、实用性强。 《555时基集成电路原理与应用》可供电子电路设计、开发和应用人员及广大电子爱好者阅读,也可供大中专院校及职业相关专业师生阅读参考。

    • ¥100 ¥222 折扣:4.5折
    • CMOS集成电路设计手册第3版·数字电路篇【达额立减】
    •   ( 0 条评论 )
    • (美)贝克 著,朱万经,张徐亮,张雅丽 译 /2014-02-01/ 人民邮电出版社
    • 《CMOS集成电路设计手册》讨论了CMOS电路设计的工艺、设计流程、EDA工具手段以及数字、模拟集成电路设计,并给出了一些相关设计实例,内容介绍由浅入深。该著作涵盖了从模型到器件,从电路到系统的全面内容,是一本、综合的CMOS电路设计的工具书及参考书。 《CMOS集成电路设计手册》英文原版书是作者近30年教学、科研经验的结晶,是CMOS集成电路设计领域的一本力作。《CMOS集成电

    • ¥260.95 ¥602 折扣:4.3折
    • Altium Designer Summer 09电路设计与制作【可开电子发票】
    •   ( 0 条评论 )
    • 陈学平 等编著 /2012-04-01/ 电子工业出版社
    • 本书主要讲述了Altium Designer Summer09的电路设计技巧及典型设计实例,读者通过本书的学习能够掌握Altium Designer Summer09软件的电路设计方法,本书主要介绍了Altium Designer Summer09的安装、激活、软件中文化的方法,介绍了原理图编辑环境及原理图的设计方法、原理图元件库的制作方法及添加封装的方法,介绍了PCB封装库元件的制作方法同时介绍了3D封装元件的制作方法,介绍了PCB板的设计类规则、PCB板的布局布线,最后用两个典型实例来对前面的相关内容进行强化训练。本书的主要特点是在讲述技巧的同时,配以典型实例巩固所学知识点,使读者能够快速成为电路设计高手。

    • ¥242.35 ¥560 折扣:4.3折
    • 集成电路测试动技术【正版书籍】
    •   ( 0 条评论 )
    • 高成,张栋,王香芬 编著 /2009-02-01/ 国防工业出版社
    • 本书系统介绍了常用集成电路测试的原理、方法和技术,范围涵盖了数字集成电路、模拟集成电路、SOC器件、数字/模拟混合集成电路、电源模块、集成电路测试系统、测试接口板设计等方面。主要为从事IC测试相关人员全面掌握各类集成电路的测试技术打下良好基础。

    • ¥200.5 ¥463 折扣:4.3折
    • 集成电路静态时序分析与建模【放心购买】
    •   ( 1 条评论 )
    • 刘峰 /2016-07-01/ 机械工业出版社
    • 由于芯片尺寸的减小、集成度密集化的增强、电路设计复杂度的增加、电路性能要求的提高等因素,对芯片内的时序分析提出了更高的要求。静态时序分析是大规模集成电路设计中非常重要的一个环节,它能验证设计在时序上的正确性,并决定设计是否能够在要求的工作频率下运行。本书由集成电路设计论坛.iream.站长刘峰编著,共11章,基于广度和深度两个方面来阐述整个CMOS集成电路静态时序分析流程与时序建模技术,并通过实践案例对技术应用进行更深入的讲解,使初学者在静态时序分析与建模两方面得到理论与实战的双重提高。本书适合作为微电子与集成电路相关的研究生、本科生、职业技术类学生的教材和教辅书,也可作为电子、自控、通信、计算机类工程技术人员学习使用集成电路设计软件和进修集成电路设计的技术参考书与工具书。

    • ¥321 ¥805 折扣:4折
    • CMOS射频集成电路设计【放心购买】
    •   ( 0 条评论 )
    • (美)李(Lee.T.H.) 著,余志平 等译 /2006-11-01/ 电子工业出版社
    • 本书是《CMOS射频集成电路设计》的第二版,这本被誉为射频集成电路设计的指南书全面深入地介绍了设计千兆赫兹(GHz)CMOS射频集成电路的细节。本书首先简要介绍了无线电发展史和无线系统原理;在回顾集成电路元件特性、MOS器件物理和模型、RLC串并联和其他振荡网络以及分布式系统特点的基础上,介绍了史密斯圆图、S参数和带宽估计技术;着重说明了现代高频宽带放大器的设计方法,详细讨论了关键的射频电路模块,包括低噪声放大器(LNA)、基准电压源、混频器、射频功率放大器、振荡器和频率综合器。对于射频集成电路中存在的各类噪声及噪声特性(包括振荡电路中的相位噪声)进行了深入的探讨。本书考察了收发器的总体结构并展望了射频电路未来发展的前景。书中包括许多非常实用的电路图和其他插图,并附有许多具有启发性的习题,因此是高年级

    • ¥107.7 ¥240 折扣:4.5折
广告