筛选:
    • 微电子系统热管理/电子封装技术专业学术专著
    •   ( 0 条评论 )
    • 张旻澍//谢安//莫堃//冯玲//林建平... /2019-07-01/ 西安电子科大
    • 本书系统地介绍了如何将传热学知识应用到微电子系统的散热设计与管理中,重点阐述了热工程师解决热问题的工程逻辑,引导读者由浅人深地完成学习。全书共分8章。前3章介绍传热学的基本知识,通过绘制热图像的方式引导读者理解导热微分方程背后的物理意义。第4、5章讲述如何从定性热分析过渡到半定性半定量分析,即采用热阻网络的方法分析微电子工程、工艺中的热问题。第6章介绍有限元方法的特点以及如何开展正确的数值分析。第7章介绍常见的热测量方法。第8章介绍非稳态导热问题。 本书适用于本科生、研究生阶段的教学,适用专业包括微电子技术、电子封装技术、微机电工程等与微电子制造相关的专业。

    • ¥22.48 ¥45 折扣:5折
    • 你好FPGA:一本可以听的入门书 王建飞 雷斌 编著 电子工业出版社,【正版可开发票】
    •   ( 0 条评论 )
    • 王建飞 雷斌 编著 /2016-07-01/ 电子工业出版社
    • 本书由至芯科技在各大高校的授课内容整理而来,是为初学者量身定制的FPGA入门教材,从基础的软件安装、工具使用、语法解释、设计方法、常用IP,到最后的设计技巧及大量的进阶实验,内容环环相扣,为读者建立了一个比较清晰的学习脉络。设计思路及方法为本书重点强调的内容,它作为一条主线贯穿始终,希望读者学习时注意体会。只有掌握了正确的学习和设计方法,读者才可能在数字逻辑设计的领域越走越远。本书没有收录过多烦琐的理论,一切从实战出发,按照一套相对高效的设计方法直接切入一个个小的项目,深入浅出。希望以此可以培养广大读者的设计能力,我们不但要知道某个逻辑可以这样写,更重要的还必须清楚为什么这样写,这样写有什么优势或劣势,还有没有优化空间等。与本书配套的视频已保存在网络云中,读者可在下载后参考学习。本

    • ¥15 ¥37.37 折扣:4折
    • CMOS模拟集成电路版图设计与验证——基于Cadence Virtuoso与Mentor Calibre
    •   ( 1060 条评论 )
    • 尹飞飞 等编著 /2016-08-01/ 电子工业出版社
    • 本书依托Cadence Virtuoso版图设计工具与Mentor Calibre版图验证工具,采取循序渐进的方式,介绍利用Cadence Virtuoso与Mentor Calibre进行CMOS模拟集成电路版图设计、验证的基础知识和方法,内容涵盖了CMOS模拟集成电路版图基础知识,Cadence Virtuoso与Mentor Calibre的基本概况、操作界面和使用方法,CMOS模拟集成电路从设计到流片的完整流程,同时又分章介绍了利用Cadence Virtuoso版图设计工具、Mentor Calibre版图验证工具及Synopsys Hspice电路仿真工具进行CMOS电路版图设计与验证、后仿真的实例,包括运算放大器、带隙基准源、低压差线性稳压源、比较器和输入/输出单元。

    • ¥26.4 ¥48 折扣:5.5折
    • 集成电路设计导论 罗萍 著 清华大学出版社【正版保证】
    •   ( 3 条评论 )
    • 罗萍 著 /2016-01-01/ 清华大学出版社
    • 本书是集成电路领域相关专业的一本入门教材,主要介绍与集成电路设计相关的基础知识。全书共分10章,以集成电路设计为核心,全面介绍现代集成电路技术。内容主要包括半导体材料与器件物理、集成电路制造技术、典型数字模拟集成电路、现代集成电路设计技术与方法学、芯片的封装与测试等方面的知识。本书主要涉及采用硅衬底、CMOS工艺制造的集成电路芯片技术,同时,简单介绍集成电路发展的趋势。本书可作为高等院校集成电路、微电子、电子、通信与信息等专业高年级本科生和硕士研究生的教材或相关领域从业人员的参考书籍。

    • ¥22 ¥51.37 折扣:4.3折
    • CMOS模数转换器设计与仿真(EDA应用技术)
    •   ( 2 条评论 )
    • 编者:张锋//陈铖颖//范军 /2019-05-01/ 电子工业
    • 在自然界中,人们能感受到的信号都是模拟量,如声音、风力、振动等。随着21世纪信息社会的到来,人们要对模拟信号进行精细化的数字处理。模数转换器承担着模拟数据获取与重构的重任,也自然成为模拟世界与数字世界的桥梁。目前,模数转换器广泛应用于语音处理、医疗监护、工业控制及宽带通信等领域中,是现代电子设备 的电路模块。本书采取理论与设计实例相结合的方式,分章节介绍了模数转换器的基础知识,以及流水线型模数转换器、逐次逼近型模数转换器、Sigma-Delta模数转换器三大类结构。 ,还对重要的高速串行接口电路进行了分析讨论。

    • ¥29.92 ¥68 折扣:4.4折
    • 和伊壁鸠鲁一起旅行 (美)丹尼尔·克莱恩(Daniel Klein) 著,王喆,杨惠 译 北京联合出版公司【正版可开发票
    •   ( 0 条评论 )
    • (美)丹尼尔·克莱恩(Daniel Klein) 著,王喆, /2018-03-01/ 北京联合出版公司
    • 两千多年前的哲学家伊壁鸠鲁及其学派,提出了享乐主义哲学,注重精神自足,崇尚友情、快乐、循世自然的态度,深刻影响了现代人的生活方式。 丹尼尔·克莱恩著的《和伊壁鸠鲁一起旅行(古希腊哲学的寻根之旅)(精)》在出版4年之后退休,他拒绝了医生植牙的建议而省下一大笔钱。他离开喧嚣的纽约,拖着一箱哲学书来到哲学家的故乡,在风光旖旎的希腊小岛开启了一场哲学寻根之旅。他在沙滩上漫步思考,结识天性豁达的岛上居民,也读到大哲学家的人生建议,包括伊壁鸠鲁、柏拉图、斯多葛派、培根、叔本华,等等。很终他发现,人只有具备成熟的心智,才能理解整个生活的乐趣。这次,他终于找到了生命的意义。

    • ¥10 ¥41.37 折扣:2.4折
    • CMOS模拟集成电路版图设计与验证:基于Cadence Virtuoso与Mentor Cal 尹飞飞,陈钺颖,范军,王
    •   ( 1 条评论 )
    • 尹飞飞,陈钺颖,范军,王鑫 著 /2016-08-01/ 电子工业出版社
    • 本书依托Cadence Virtuoso版图设计工具与Mentor Calibre版图验证工具,采取循序渐进的方式,介绍利用Cadence Virtuoso与Mentor Calibre进行CMOS模拟集成电路版图设计、验证的基础知识和方法,内容涵盖了CMOS模拟集成电路版图基础知识,Cadence Virtuoso与Mentor Calibre的基本概况、操作界面和使用方法,CMOS模拟集成电路从设计到流片的完整流程,同时又分章介绍了利用Cadence Virtuoso版图设计工具、Mentor Calibre版图验证工具及Synopsys Hspice电路仿真工具进行CMOS电路版图设计与验证、后仿真的实例,包括运算放大器、带隙基准源、低压差线性稳压源、比较器和输入/输出单元。

    • ¥22.1 ¥69.3 折扣:3.2折
    • 你好FPGA:一本可以听的入门书 王建飞 雷斌 编著 电子工业出版社【正版可开发票】
    •   ( 1 条评论 )
    • 王建飞 雷斌 编著 /2016-07-01/ 电子工业出版社
    • 本书由至芯科技在各大高校的授课内容整理而来,是为初学者量身定制的FPGA入门教材,从基础的软件安装、工具使用、语法解释、设计方法、常用IP,到最后的设计技巧及大量的进阶实验,内容环环相扣,为读者建立了一个比较清晰的学习脉络。设计思路及方法为本书重点强调的内容,它作为一条主线贯穿始终,希望读者学习时注意体会。只有掌握了正确的学习和设计方法,读者才可能在数字逻辑设计的领域越走越远。本书没有收录过多烦琐的理论,一切从实战出发,按照一套相对高效的设计方法直接切入一个个小的项目,深入浅出。希望以此可以培养广大读者的设计能力,我们不但要知道某个逻辑可以这样写,更重要的还必须清楚为什么这样写,这样写有什么优势或劣势,还有没有优化空间等。与本书配套的视频已保存在网络云中,读者可在下载后参考学习。本

    • ¥20 ¥47.37 折扣:4.2折
    • CMOS器件及其应用 彭军 编著 科学出版社【正版可开发票】
    •   ( 1 条评论 )
    • 彭军 编著 /2009-05-01/ 科学出版社
    • 本书主要介绍CMOS模拟电路和数字电路。模拟电路部分包括放大电路及其频率特性、模拟电路的噪声、差动放大电路、偏置电路和参考电源电路、比较电路,以及OP放大器。数字电路部分介绍基本逻辑电路、CMOS器件的特点、标准逻辑IC的功能及使用方法、CMOS逻辑IC的特性,以及CMOS器件的失效模式。为了便于理解,引用了大量图、表,内容偏重于各种器件、电路的应用。 本书可作为电子电路设计、应用微电子等专业的本科生、研究生的教学参考书,对于在相关领域工作的年轻的电子工程技术人员也具有实用参考价值。

    • ¥13 ¥43.37 折扣:3折
    • 现代信息电子学物理 杨冬晓 编著 浙江大学出版社【正版可开发票】
    •   ( 1 条评论 )
    • 杨冬晓 编著 /2007-11-01/ 浙江大学出版社
    • 本书是电子信息类专业教材,它是以现代物理思想、概念、研究方法和现代教育思想、教学方法为基础,根据信息工程技术对物理基础的需求而编写的。全书以能带理论作为统一和完整的物理基础理论,以电子的不同运动方式贯穿全书。全书共分九章:到第三章简介晶体结构和量子力学以及固体电子论基础,第四章为半导体物理和器件原理并简介集成器件和微细加工棼术,第五章为光电子学和光电子器件,第六章为磁电子学,第七章为超导皇子学,第八章为电介质电子学,第九章简介介质中的光、声、电效应和应用。教学参考时数50学时。 本书可作为全国高校工科电子信息类学生的专业基础教材,也可供一般工程技术人员阅读、参考。

    • ¥24 ¥101.37 折扣:2.4折
    • 按图索骥学用数字集成电路 李忠国 人民邮电出版社【正版可开发票】
    •   ( 1 条评论 )
    • 李忠国 /2010-03-01/ 人民邮电出版社
    • 《按图索骥学用数字集成电路》介绍常见数字电路的基本概念,基本数字电路的逻辑功能,基本数字集成电路器件的功能、作用和使用方法。主要内容包括:基本门电路、复合门电路、译码器、编码器、触发器、计数器、寄存器、D/A和A/D转换器以及555脉冲发生器的基本逻辑功能及其使用。 《按图索骥学用数字集成电路》从感性认识入手,通过对实际器件和电路进行实验测试总结出逻辑功能,使用大量的实拍图片展示实验过程,有利于初学者的学习和理解。 《按图索骥学用数字集成电路》适合高等职业学校、中等职业学校学生和电子技术爱好者阅读。

    • ¥23 ¥53.37 折扣:4.3折
    • Protel 99 SE电路设计与制版从入门到提高 王雅芳 编著 机械工业出版社【正版】
    •   ( 0 条评论 )
    • 王雅芳 编著 /2011-05-01/ 机械工业出版社
    • Protel 99SE是运行在Windows环境下的EDA系统,其强大的功能使电子电路的设计质量和效率大为提高,已成为众多电子电路设计人员的计算机辅助设计软件。 ????王雅芳编著的这本《Protel 99SE电路设计与制版从入门到提高》介绍了Protel 99SE软件的功能、特点和使用方法,各部分均结合典型实例,详细地讲解了设计方法和操作步骤。 ????《Protel 99SE电路设计与制版从入门到提高》实例丰富、图文并茂、结构合理、内容翔实,可作为工科院校电子信息类专业学生实用教材,以及课程实践、毕业设计和各类企业培训的辅导教材,也可作为从事电路设计与制版的工程技术人员及广大电子爱好者学习的参考书籍。

    • ¥18 ¥43.37 折扣:4.2折
    • 集成传感器应用 沙占友 编著 中国电力出版社【正版】
    •   ( 0 条评论 )
    • 沙占友 编著 /2005-09-01/ 中国电力出版社
    • 本书从实用角度出发,全面系统地介绍了几百种新型集成传感器的应用技术。全书共分16章。章为集成传感器概述。第2章到第7章分别介绍了各种集成温度传感器及温度控制器的应用。第8章至2章重点阐述其他各种类型集成传感器的应用。3章至4章深入阐述传感器信号调理器、传感器信号处理器的应用。5章、6章分别介绍单片传感器系统及总线接口技术的应用,充分反映了外在该领域的科技成果。本书是部专门介绍新型集成传感器应用技术的科技书。 本书题材新颖,内容丰富,深入浅出,并集科学性、先进性、系统性及实用性于一身,可供电力及电子测量、工业自动化控制、环境监测、家用电器等领域的工程技术人员、高校师生和电子爱好者阅读。

    • ¥23 ¥59.37 折扣:3.9折
    • 数字集成电路与系统设计 李广军 等编著 电子工业出版社【正版可开发票】
    •   ( 1 条评论 )
    • 李广军 等编著 /2015-10-01/ 电子工业出版社
    • 本书根据数字集成电路和系统工程设计所需求的知识结构,涉及了从系统架构设计至GDSⅡ版图文件的交付等完整的数字集成电路系统前/后端工程设计流程及关键技术。内容涵盖了VLSI设计方法、系统架构、技术规格书(SPEC)、算法建模、Verilog HDL及RTL描述、逻辑与物理综合、仿真与验证、时序分析、可测性设计、安全性设计、低功耗设计、版图设计及封装等工程设计中各阶段的核心知识点。尤其对数字信号处理器的算法建模及ASIC设计实现中的关键技术给出了详尽的描述和设计实例。

    • ¥17 ¥51.37 折扣:3.3折
    • 数字集成电路与系统设计 李广军 等编著 电子工业出版社【正版】
    •   ( 0 条评论 )
    • 李广军 等编著 /2015-10-01/ 电子工业出版社
    • 本书根据数字集成电路和系统工程设计所需求的知识结构,涉及了从系统架构设计至GDSⅡ版图文件的交付等完整的数字集成电路系统前/后端工程设计流程及关键技术。内容涵盖了VLSI设计方法、系统架构、技术规格书(SPEC)、算法建模、Verilog HDL及RTL描述、逻辑与物理综合、仿真与验证、时序分析、可测性设计、安全性设计、低功耗设计、版图设计及封装等工程设计中各阶段的核心知识点。尤其对数字信号处理器的算法建模及ASIC设计实现中的关键技术给出了详尽的描述和设计实例。

    • ¥23 ¥53 折扣:4.3折
    • 高速电路信号完整性分析与设计 陈伟,黄秋元,周鹏 编著 电子工业出版社【正版】
    •   ( 0 条评论 )
    • 陈伟,黄秋元,周鹏 编著 /2009-05-01/ 电子工业出版社
    • 本书较系统、全面、深入地介绍了高速电路信号完整性分析与设计的基本理论、概念、技术和应用。全书共分12章,内容包括:高速信号与高速电路的基本概念、高速信号完整性基本理论、高速逻辑电路分析、高速信号的反射分析、串扰分析、开关噪声分析、时序分析、EMC分析、电源完整性分析、信号完整性仿真模型分析、高速电路差分线设计以及高速电路仿真设计实例等。本书配有免费电子教学课件。 本书层次结构清晰,内容全面,叙述由浅入深,理论、分析与设计相结合,前后连贯。本书还将当前高速信号环境下通信电子电路设计所面临的具体问题,结合高速电路设计的基本理论和先进的信号完整性仿真设计与分析工具,对电路设计中所涉及的信号完整性问题进行重点阐述,充分反映了近年来高速电路设计的新理论、新方法、新技术和新应用,可以帮助读者

    • ¥14 ¥39.37 折扣:3.6折
广告