筛选:
    • 基于运算放大器和模拟集成电路的电路设计(第4版国外名校*教材精选)
    •   ( 14 条评论 )
    • (美)赛尔吉欧·佛朗哥|责编:鲍媛|译者:荣玫//刘树棠//朱茂... /2017-11-01/ 西安交大
    • 本书全面阐述以运算放大器和模拟集成电路为主要器件构成的电路原理、设计方法和实际应用。电路设计以实际器件为背景,对实现中的许多实际问题尤为关注。全书共分13章,包含三大部分。 部分( ~4章),以运算放大器作为理想器件介绍基本原理和应用,包括运算放大器基础、具有电阻反馈的电路和有源滤波器等。第二部分(第5~8章)涉及运算放大器的诸多实际问题,如静态和动态限制、噪声及稳定性问题。第三部分(第9~13章)着重介绍面向各种应用的电路设计方法,包括非线性电路、信号发生器、电压基准和稳压电源、D-A和A-D转换器以及非线性放大器和锁相环等。 本书可用作通信类、控制类、遥测遥控、仪器仪表等相关专业本科高年级及研究生有关课程的教材或主要参考书,对从事实际工作的电子工程师们也有很大参考价值。

    • ¥63.95 ¥128 折扣:5折
    • 微电子电路设计 (美)耶格 等著 电子工业出版社【正版】
    •   ( 1 条评论 )
    • (美)耶格 等著 /2011-01-01/ 电子工业出版社
    • 本书涵盖了微电子电路设计所需基础知识,主要由三个部分组成。部分介绍固态电子学与器件,讨论了电子学的发展与电路分析方法、半导体物理和以MOSFET和双极型晶体管为代表的微电子器件的工作原理、i-v特性及SPICE模型等。第二部分为数字电路,包括数字电路的基本概念和CMOS电路、存储电路、ECL与TTL等双极型逻辑电路的设计方法,并简要介绍了BiCMOS电路。第三部分为模拟电路,以理想运算放大器和SPICE仿真为基础介绍了不同结构运算放大器的相关特性、小信号模型、具体分析方法和集成设计技术,最后讨论了放大器的频率响应、反馈和振荡器等问题。通过学习本书可以全面了解现代微电子电路设计,包括模拟与数字、分立与集成,了解内部结构也有利于系统设计中对集成电路的适当选择。 本书适用做电子信息类各专业本科生基础课的双语教材或参考书,也

    • ¥232 ¥833.37 折扣:2.8折
    • MEMS传感器接口ASIC集成技术 刘晓为,陈伟平 著 国防工业出版社,【正版保证】
    •   ( 2 条评论 )
    • 刘晓为,陈伟平 著 /2013-02-01/ 国防工业出版社
    • 《微米纳米技术丛书·MEMS与微系统系列:MEMS传感器接口ASIC集成技术》首先介绍了以微纳米惯性器件芯片级集成为代表的ME:MS集成传感器的起源、技术特点、外的发展状况;然后叙述了MEMS集成压力传感器,MEMS加速度计接口ASIC芯片及其集成化技术,MEMS陀螺接口ASIC芯片及其芯片级集成技术,MEMS磁传感器、微传声器(麦克风)、热红外传感器等的接口ASIC芯片设计及其芯片级集成技术。《微米纳米技术丛书·MEMS与微系统系列:MEMS传感器接口ASIC集成技术》非常适合高等院校相关专业的师生和从事MEMS集成传感器研究的工程技术人员阅读,同时对从事MEMS传感器应用开发与生产的工程技术人员和管理决策者都有重要参考价值。

    • ¥36 ¥111 折扣:3.2折
    • 纳米集成电路制造工艺 张汝京 等 清华大学出版社,【正版保证】
    •   ( 1 条评论 )
    • 张汝京 等 /2017-01-01/ 清华大学出版社
    • 本书共19章,涵盖先进集成电路工艺的发展史,集成电路制造流程、介电薄膜、金属化、光刻、刻蚀、表面清洁与湿法刻蚀、掺杂、化学机械平坦化,器件参数与工艺相关性,DFM(Design for Manufacturing),集成电路检测与分析、集成电路的可靠性,生产控制,良率提升,芯片测试与芯片封装等内容。再版时加强了半导体器件方面的内容,增加了先进的FinFET、3D NAND存储器、CMOS图像传感器以及无结场效应晶体管器件与工艺等内容。

    • ¥39 ¥123 折扣:3.2折
    • 质谱分析技术原理与应用 台湾质谱学会 著 科学出版社,【正版保证】
    •   ( 1 条评论 )
    • 台湾质谱学会 著 /2018-11-01/ 科学出版社
    • 《质谱分析技术原理与应用》是台湾质谱学会集结众学者之力,编撰的一本质谱分析技术的入门教科书。《质谱分析技术原理与应用》包括质谱分析技术基本原理和质谱分析技术应用两部分。章对质谱仪进行概述;第2~8章为部分,从离子化方法,质量分析器,串联质谱分析,质谱与分离技术的结合,真空、检测与仪器控制系统,质谱数据解析,定量分析等方面阐明质谱分析技术基本原理;第9~13章为第二部分,讨论质谱分析技术在食品安全分析、蛋白质组学/代谢组学、环境与地球科学、药物与毒物分析以及医学上的应用。

    • ¥113 ¥287.37 折扣:3.9折
    • 电力电子中的控制电路实用设计指南/国际电气工程先进技术译丛
    •   ( 1 条评论 )
    • 编者:(西班牙)米格尔·卡斯蒂亚|译者:宁圃奇//李磊... /2019-05-01/ 机械工业
    • 《电力电子中的控制电路实用设计指南》介绍了电力电子变频器的控制,主要涵盖了滑模控制技术、滞回控制技术和基于DSP或FPGA的数字控制技术等,以直-直变频器、高强度气体放电灯镇流器、三相单位功率因数整流器、电压源型逆变器等为设计案例,系统阐述了控制原理、电路设计技术和硬件开发技术。《电力电子中的控制电路实用设计指南》介绍了当前 范围内使用极为广泛的控制方案,以及未来电力电子控制电路的发展趋势。《电力电子中的控制电路实用设计指南》主要面对电力电子专业的师生,以及从事电力电子变频器的研发人员、生产人员和应用技术人员,既可以作为授课拓展材料或专业参考书,也可作为其他专业的技术人员、管理人员、市场预测人员的参考资料。

    • ¥48.51 ¥99 折扣:4.9折
    • VHDL硬件描述语言与数字逻辑电路设计(第5版)
    •   ( 9 条评论 )
    • 编者:侯伯亨//刘凯//顾新 /2019-07-01/ 西安电子科大
    • 本书系统地介绍了VHDL硬件描述语言以及用该语言设计数字逻辑电路和数字系统的新方法。全书共13章: 、3、4、5、6、7、8、9章主要介绍VHDL的基本知识和用其设计简单逻辑电路的基本方法;第2、10章简单介绍数字系统设计的一些基本知识; 1章以洗衣机洗涤控制电路设计为例,详述一个小型数字系统设计的步骤和过程; 2章介绍常用微处理器接口芯片的设计实例: 3章介绍VHDL93版和87版的主要区别。 本书简明扼要,易读易懂,书中所有VHDL程序都用93版标准格式书写。全书以数字逻辑电路设计为主线,用对比手法来说明数字逻辑电路的电原理图和VHDL程序之间的对应关系,并列举了众多实例。另外,从系统设计角度出发,介绍了数字系统设计的一些基本知识及工程设计技巧。 本书既可作为大学本科生教材,也可作为研究生教材,还可供电子电路工程师自学

    • ¥25.98 ¥52 折扣:5折
    • 基于运算放大器和模拟集成电路的电路设计(第4版国外名校最新教材精选)
    •   ( 2 条评论 )
    • (美)赛尔吉欧·佛朗哥|责编:鲍媛|译者:荣玫//刘树棠//朱茂林 /2017-11-01/ 西安交大
    • 本书全面阐述以运算放大器和模拟集成电路为主要器件构成的电路原理、设计方法和实际应用。电路设计以实际器件为背景,对实现中的许多实际问题尤为关注。全书共分13章,包含三大部分。 部分( ~4章),以运算放大器作为理想器件介绍基本原理和应用,包括运算放大器基础、具有电阻反馈的电路和有源滤波器等。第二部分(第5~8章)涉及运算放大器的诸多实际问题,如静态和动态限制、噪声及稳定性问题。第三部分(第9~13章)着重介绍面向各种应用的电路设计方法,包括非线性电路、信号发生器、电压基准和稳压电源、D-A和A-D转换器以及非线性放大器和锁相环等。 本书可用作通信类、控制类、遥测遥控、仪器仪表等相关专业本科高年级及研究生有关课程的教材或主要参考书,对从事实际工作的电子工程师们也有很大参考价值。

    • ¥64.85 ¥128 折扣:5.1折
    • 新型数字万用表原理与应用 数字仪表精品丛书【正版书籍,满额减】
    •   ( 1 条评论 )
    • 沙占友 /2006-03-01/ 机械工业出版社
    • 本书全面、深入精辟地阐述了目前流行的各种新型数字万用表的原理与应用。全书共8章。章为数字万用表概述。第2章阐述16种数字万用表功能转换器的基本原理。第3章和第4章分别介绍了15种单片数字万用表、单片智能数字万用表集成电路的原理与应用。第5—7章,对目前目前流行的36种3 1/2位、3 3/4位、4 1/2位、5 1/2位数字万用表的整机电路作了深入的剖析。第8章论述了数字万用表的使用指南。这是一本介绍新型数字万用表设计原理与应用的专著。本书为“数字仪表精品丛书”的第2本,该丛书还包括《新型数字电压表原理与应用》、《新型专用数字仪表原理与应用》和《数字仪表新颖电路原理与应用》。 本书题材新颖,内容丰富,叙述严谨,深入浅出,图文并茂,既有科学性、先进性,又具有很高的实用价值,可供从事科研、、维修工作的各类电子技术人员和电

    • ¥38.5 ¥83 折扣:4.6折
    • 集成电路制造工艺与工程应用
    •   ( 22 条评论 )
    • 编者:温德通 /2018-08-01/ 机械工业
    • 本书以实际应用为出发点,对集成电路制造的主流工艺技术进行了逐一介绍,例如应变硅技术、HKMG技术、SOI技术和FinFET技术,然后从工艺整合的角度,通过图文对照的形式对典型工艺进行介绍,例如隔离技术的发展、硬掩膜版工艺技术、LDD工艺技术、Salicide工艺技术、ESD IMP工艺技术、AL和Cu金属互连。然后把这些工艺技术应用于实际工艺流程中,通过实例让读者能快速的掌握具体工艺技术的实际应用。 本书旨在向从事半导体行业的朋友介绍半导体工艺技术,给业内人士提供简单易懂并且与实际应用相结合的参考书。本书也可供微电子学与集成电路专业的学生和教师阅读参考。

    • ¥48.51 ¥99 折扣:4.9折
    • 集成电路制造工艺与工程应用 机械工业出版社
    •   ( 39 条评论 )
    • 温德通 /2018-08-01/ 机械工业出版社
    • 本书以实际应用为出发点,对集成电路制造的主流工艺技术进行了逐一介绍,例如应变硅技术、HKMG技术、SOI技术和FinFET技术,然后从工艺整合的角度,通过图文对照的形式对典型工艺进行介绍,例如隔离技术的发展、硬掩膜版工艺技术、LDD工艺技术、Salicide工艺技术、ESD IMP工艺技术、AL和Cu金属互连。然后把这些工艺技术应用于实际工艺流程中,通过实例让读者能快速的掌握具体工艺技术的实际应用。 本书旨在向从事半导体行业的朋友介绍半导体工艺技术,给业内人士提供简单易懂并且与实际应用相结合的参考书。本书也可供微电子学与集成电路专业的学生和教师阅读参考。

    • ¥47.5 ¥99 折扣:4.8折
    • 微电子材料与制程 陈力俊 主编 复旦大学出版社,【正版保证】
    •   ( 1 条评论 )
    • 陈力俊 主编 /2005-03-01/ 复旦大学出版社
    • 教材、手册的中文化可能是台湾地区近年来在发展科技诸般努力中最弱的一环,但也可能是使科技生根深化最重要的一环。适当的中文教材不仅能大幅提高学习效率,而且经由大家熟悉的文字更能传递理论的逻辑概念、关联脉络以及深层意义,勾画出思路发展,学习的效果更不可以道里计。“材料科学学会”体认到教材中文化的重要性,落实及扩大教学成效,规划出版一系列观念正确、内容丰富的中文教科书。 本书章将微电子材料与工艺作一概览,第二章介绍半导体基本理论。第三章至第十章为集成电路工艺各重要的问题:单晶成长、硅晶薄膜、蚀刻、光刻技术、离子注入、金属薄膜与工艺、氧化、介电层、电子封装技术基础教材,第十一章则为材料分析技术应用。各章邀请专家学者撰写或合撰,务求内容精到详实,兼顾理论与应用,深入浅出,希望不仅成为莘

    • ¥160 ¥343.37 折扣:4.7折
    • 模拟CMOS集成电路设计(第2版国外名校最新教材精选)
    •   ( 9 条评论 )
    • (美)毕查德·拉扎维|译者:陈贵灿//程军//张瑞智//张鸿... /2018-12-01/ 西安交大
    • 本书是模拟CMOS集成电路设计方面的经典教材,介绍模拟CMOS集成电路的分析与设计,着重讲解该技术的 进展和设计实例,从MOSFET器件的基本物理特性开始,逐章分析CMOS放大单元电路、差分放大器、频率响应、噪声、反馈放大器与稳定性、运算放大器、电压基准源与电流基源、离散时间系统、差分电路及反馈系统中的非线性、振荡器和锁相环等基础模拟电路的分析与设计。本书还介绍了集成电路的基本制造工艺、版图和封装设计的基本原则。 本书自出版以来得到了 外读者的好评和青睐,被许多 知名大学选为教科书。同时,由于原著者在世界知名 公司的丰富研究经历,使本书也非常适合作为CMOS模拟集成电路设计或相关领域的研究人员和工程技术人员的参考书。

    • ¥67.62 ¥138 折扣:4.9折
    • 微电子系统热管理/电子封装技术专业学术专著
    •   ( 2 条评论 )
    • 张旻澍//谢安//莫堃//冯玲//林建平... /2019-07-01/ 西安电子科大
    • 本书系统地介绍了如何将传热学知识应用到微电子系统的散热设计与管理中,重点阐述了热工程师解决热问题的工程逻辑,引导读者由浅人深地完成学习。全书共分8章。前3章介绍传热学的基本知识,通过绘制热图像的方式引导读者理解导热微分方程背后的物理意义。第4、5章讲述如何从定性热分析过渡到半定性半定量分析,即采用热阻网络的方法分析微电子工程、工艺中的热问题。第6章介绍有限元方法的特点以及如何开展正确的数值分析。第7章介绍常见的热测量方法。第8章介绍非稳态导热问题。 本书适用于本科生、研究生阶段的教学,适用专业包括微电子技术、电子封装技术、微机电工程等与微电子制造相关的专业。

    • ¥22.68 ¥45 折扣:5折
    • Mentor Xpedition从零开始做工程之高速PCB设计:配视频教程 林超文,王子瑜,郭素娟 编著;卡斯旦电子科技
    •   ( 4 条评论 )
    • 林超文,王子瑜,郭素娟 编著;卡斯旦电子科技有限公司 组编 /2016-06-01/ 电子工业出版社
    • 本书依据Mentor Graphics近期新推出的Mentor Xpedition EEVX 1.2中的xDM Library Tools、xDX Designer、xPCB Layout、Constraint Manager、xPCB Team Layout为基础,详细介绍了利用Mentor Xpedition软件实现原理图与PCB设计的方法和技巧。本书综合了众多初学者的反馈,结合设计实例,配合大量的示意图,以实用易懂的方式介绍印制电路板设计流程和高速电路的PCB处理方法。本书注重实践和应用技巧的分享。全书共21章,主要内容包括:中心库建立与管理,工程文件管理,原理图设计,PCB布局、布线设计,Gerber及相关生产文件输出,Team Layout(Xtreme)协同设计,HDTV播放器设计实例,多片存储器DDR2设计实例,ODBC数据库设计与PCB文件格式转换等。随书配套光盘提供了书中实例的源文件及部分实例操作的视频演示文件,读者可以参考使用。本书适合从事电路原理图与PCB设计相关的技术人员阅读,也可作为高

    • ¥44 ¥88 折扣:5折
广告